Konstantin Shkurko

SimTRaX: Simulation Infrastructure for Exploring Thousands of Cores

Konstantin Shkurko, Tim Grant, Erik Brunvand, Daniel Kopta, Josef Spjut, Elena Vasiou, Ian Mallett, and Cem Yuksel

In Great Lakes Symposium on VLSI (GLSVLSI), 2018

Teaser image

Abstract

SimTRaX is a simulation infrastructure for simultaneous exploration of highly parallel accelerator architectures and how applications map to them. The infrastructure targets both cycle-accurate and functional simulation of architectures with thousands of simple cores that may share expensive computation and memory resources. A modified LLVM backend used to compile C++ programs for the simulated architecture allows the user to create custom instructions that access proposed special-purpose hardware and to debug and profile the applications being executed. The simulator models a full memory hierarchy including registers, local scratchpad RAM, shared caches, external memory channels, and DRAM main memory, leveraging the USIMM DRAM simulator to provide accurate dynamic latencies and power usage. SimTRaX provides a powerful and flexible infrastructure for exploring a class of extremely parallel architectures for parallel applications that are not easily simulated using existing simulators.


Links

Paper (pdf, 3.6 MB)
Tech Report (pdf, 4.0 MB)
Publisher's Version

BibTeX

@inproceedings{Shkurko:2018:simtrax,
   author = {Konstantin Shkurko and Tim Grant and Erik Brunvand and Daniel Kopta and Josef Spjut and Elena Vasiou and Ian Mallett and Cem Yuksel},
   title = {{SimTRaX}: Simulation Infrastructure for Exploring Thousands of Cores},
   booktitle = {2018 Great Lakes Symposium on VLSI},
   series = {GLSVLSI '18},
   year = {2018},
   isbn = {978-1-4503-5724-1},
   location = {Chicago, IL},
   pages = {121--128},
   numpages = {4},
   acmid = {2492058},
   url = {https://doi.org/10.1145/3194554.3194650},
   doi = {10.1145/3194554.3194650},
   publisher = {ACM},
   address = {New York, NY, USA},
}
@techreport{Shkurko:2018:simtraxTechRep,
   author = {Konstantin Shkurko and Tim Grant and Erik Brunvand and Daniel Kopta and Josef Spjut and Elena Vasiou and Ian Mallett and Cem Yuksel},
   title = {{SimTRaX}: Simulation Infrastructure for Exploring Thousands of Cores},
   institution = {School of Computing, University of Utah},
   year = {2018},
   number = {UUCS-18-001},
}

Acknowledgements

This material is supported in part by the National Science Foundation under Grant No. 1409129. The authors thank Solomon Boulos, Al Davis, Spencer Kellis, Andrew Kensler, Steve Parker, Paymon Saebi, Pete Shirley, and Utah Architecture group for discussions and simulator contributions. Crytek Sponza is from Frank Meinl at Crytek and Marko Dabrovic and San Miguel is from Guillermo Leal Laguno.

Updated: 03.29.18 © Konstantin Shkurko, 2010 - validate css, html